::quartus::flng

The following table displays information for the ::quartus::flng Tcl package:

Tcl Package and Version ::quartus::flng 1.0
Description
This package contains no general description.
Availability
This package is loaded by default in the following executables:

    quartus_da
    quartus_sh

This package is available for loading in the following executables:

    quartus
    quartus_cdb
Tcl Commands
flng::add_object
flng::add_property
flng::get_next_available_id
flng::get_objects
flng::get_option
flng::get_property
flng::get_task_command
flng::init_repository
flng::list_properties
flng::run_flow
flng::set_option
flng::set_property