VHDL Component Declaration

COMPONENT CASCADE

PORT (a_in : IN STD_LOGIC;a_out : OUT STD_LOGIC);END COMPONENT;