VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) Definition LPM_PACK.vhd in the <Intel® Quartus® Prime installation directory>\libraries\vhdl\lpm directory.

component LPM_ROM

        generic (LPM_WIDTH : natural;    -- MUST be greater than 0

                 LPM_WIDTHAD : natural;    -- MUST be greater than 0

                                 LPM_NUMWORDS : natural := 0;

                                 LPM_ADDRESS_CONTROL : string := "REGISTERED";

                                 LPM_OUTDATA : string := "REGISTERED";

                                 LPM_FILE : string;

                                 LPM_TYPE : string := L_ROM;

                                 INTENDED_DEVICE_FAMILY  : string := "UNUSED";

                                 LPM_HINT : string := "UNUSED");

                 port (ADDRESS : in STD_LOGIC_VECTOR(LPM_WIDTHAD-1 downto 0);

                           INCLOCK : in STD_LOGIC := '0';

                           OUTCLOCK : in STD_LOGIC := '0';

                           MEMENAB : in STD_LOGIC := '1';

                           Q : out STD_LOGIC_VECTOR(LPM_WIDTH-1 downto 0));

end component;