VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) Definition LPM_PACK.vhd in the <Intel® Quartus® Prime installation directory>\libraries\vhdl\lpm directory.

component LPM_RAM_DP

        generic (LPM_WIDTH : natural;    -- MUST be greater than 0

                               LPM_WIDTHAD : natural;    -- MUST be greater than 0

                               LPM_NUMWORDS : natural := 0;

                               LPM_INDATA : string := "REGISTERED";

                               LPM_OUTDATA : string := "REGISTERED";

                               LPM_RDADDRESS_CONTROL : string := "REGISTERED";

                               LPM_WRADDRESS_CONTROL : string := "REGISTERED";

                               LPM_FILE : string := "UNUSED";

                               LPM_TYPE : string := L_RAM_DP;

                               USE_EAB  : string := "ON";

                               INTENDED_DEVICE_FAMILY  : string := "UNUSED";

                               RDEN_USED  : string := "TRUE";

                               LPM_HINT : string := "UNUSED");

              port (RDCLOCK : in std_logic := '0';

                        RDCLKEN : in std_logic := '1';

                        RDADDRESS : in std_logic_vector(LPM_WIDTHad-1 downto 0);

                        RDEN : in std_logic := '1';

                        DATA : in std_logic_vector(LPM_WIDTH-1 downto 0);

                        WRADDRESS : in std_logic_vector(LPM_WIDTHad-1 downto 0);

                        WREN : in std_logic;

                        WRCLOCK : in std_logic := '0';

                        WRCLKEN : in std_logic := '1';

                        Q : out std_logic_vector(LPM_WIDTH-1 downto 0));

end component;