VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) DefinitionLPM_PACK.vhd in the <Intel® Quartus® Prime installation directory>\libraries\vhdl\lpm directory.

COMPONENT divide

   GENERIC

      (WIDTH_Q              : POSITIVE;      

      WIDTH_N               : POSITIVE;

      WIDTH_D               : POSITIVE;      

      WIDTH_R               : POSITIVE;

      LPM_NREPRESENTATION   : STRING := "UNSIGNED";

      LPM_DREPRESENTATION   : STRING := "UNSIGNED";

      LPM_PIPELINE          : INTEGER := 0;

      LPM_TYPE              : STRING := "LPM_DIVIDE";

      LPM_HINT              : STRING := "UNUSED");

   PORT (numerator        : IN STD_LOGIC_VECTOR(WIDTH_N-1 DOWNTO 0);

        denominator       : IN STD_LOGIC_VECTOR(WIDTH_D-1 DOWNTO 0);

        aclr, clock       : IN STD_LOGIC := '0';

        clken             : IN STD_LOGIC := '1';

        quotient          : OUT STD_LOGIC_VECTOR(WIDTH_N-1 DOWNTO 0);

        remainder         : OUT STD_LOGIC_VECTOR(WIDTH_D-1 DOWNTO 0));

END COMPONENT;