TMC-20005: Timing Paths with Recovery Slack Exceeding Threshold

Description

Violations of this rule indicate timing paths with recovery slack below the slack threshold parameter. Timing paths with very large negative slack complicate timing closure and can cause excessive run time. Such paths are likely not valid, and require appropriate timing constraints.

Recommendation

Ensure that each timing path is valid, and apply SDC constraints to cut the path or adjust its slack. For example:

  • set_clock_groups constraint can avoid invalid clock domain crossing paths.
  • set_false_path constraint marks invalid timing paths.
  • set_multicycle_path constraint adjusts clock edges of a multi-cycle path.

Parameters

slack_threshold—Design Assistant reports a violation if timing paths have slack more negative than this parameter value. The default value is -5.000 ns.

Severity

High

Stage

Plan

Device Family

  • Intel® Stratix® 10
  • Intel® Agilex™
  • Intel® Cyclone® 10 GX
  • Intel® Arria® 10