ID:16762 VHDL warning at <location>: array type case expression should be of a locally static subtype

CAUSE: Your array type case expresssion was not or a locally static subtype.

ACTION: No action is required. To remove the warning, use an array type case expression of a locally static subtype.