ID:16746 Verilog HDL warning at <location>: <string> is already implicitly declared on line <number>

CAUSE: Your signal has already been declared somewhere else in your design.

ACTION: No action is required. To remove the warning, do not declare your signal in more than one location.