ID:20762 QSF instance assignment source or target '<target>' uses an escaped identifier containing a colon (':'). If this is a VHDL name corresponding to a 'generate' construct, note that as of Intel Quartus Prime software version 19.3, such names use period ('.') instead of colon. Replace this escaped identifier with the new instance name, which does not need to be escaped.

CAUSE: You used an old VHDL-format escaped name in your QSF. VHDL generate blocks and label constructs no longer follow the same format, and you must update the QSF instance assignment accordingly.

ACTION: Correct the assignment in your QSF.