ID:204002 Changed type of port "<name>" from INTEGER to STD_LOGIC

CAUSE: You directed the Quartus Prime software to generate an output netlist file for the top-level design entity. However, the top-level design entity contains one or more ports of type INTEGER, which is not supported by the Quartus Prime software. As a result, the Quartus Prime software changed the type of the port from INTEGER to STD_LOGIC in the output netlist file.

ACTION: No action is required. To avoid receiving this message in the future, use the STD_LOGIC port type in design entities.