ID:39084 Found Compiler Database Interface (quartus_cdb) options that can modify the Quartus Prime Settings File (.qsf) -- you must specify the --write_settings_files option to update the .qsf

CAUSE: You attempted to run quartus_cdb with options that can modify the Quartus Prime Setting File (.qsf). However, you must run quartus_cdb with the specified --write_settings_files option in order for the assignments to be written to the .qsf file.

ACTION: No action is required.