ID:13762 VHDL Subtype Declaration error at <location>: subtype for constrained <type> type cannot have range

CAUSE: In a Subtype Declaration at the specified location in a VHDL Design File (.vhd), you declared a subtype for the specified array type. However, both the subtype and the type are constrained, that is, both have a range. If a type has a range, the subtype that is based on the type cannot have a range.

ACTION: Remove the range from the subtype or type.