ID:13977 VHDL error at <location>: the expression in a case generate statement must be static.

CAUSE: You specified a non-static expression in a case generate statement, which is not legal. The expression in a case generate statement must be static.

ACTION: Specify a static expression for the case generate statement.