ID:13894 VHDL Port Map Aspect error at <location>: too many actuals for block "<name>" with only <number> formals

CAUSE: In a Port Map Aspect at the specified location in a VHDL Design File (.vhd), you used a positional Association List to associate actuals with the formal ports of the specified block, which declares the specified number of formal ports. However, the positional Association List has more actual ports than there are formal ports in the block. The number of actual ports in the positional Association List must be smaller than or equal to the number of formal ports in the block. The submessage of this message indicates the location of the construct that specifies the formal ports for the block.

ACTION: Remove actual ports from the positional Association List so that the number of actual ports is smaller than or equal to the number of formal ports in the block.