ID:13875 VHDL Constant Declaration error at <location>: constant cannot be file type or access type

CAUSE: In a Constant Declaration at the specified location in a VHDL Design File (.vhd), you declared a constant with a file type or an access type. However, a constant cannot have a file type or an access type.

ACTION: Change the type of the constant so that it is neither a file type nor an access type.