ID:13735 VHDL aggregate error at <location>: OTHERS choice must be last choice in aggregate, and must contain only the OTHERS keyword

CAUSE: In an aggregate at the specified location in a VHDL Design File (.vhd), you used the OTHERS choice. However, the OTHERS choice is not the last choice in the aggregate, or contains elements. The OTHERS choice must be the last choice in the aggregate, and must contain only the OTHERS keyword.

ACTION: Change the OTHERS choice so it is last choice in the aggregate, and it contains only the OTHERS keyword.