ID:13408 Verilog HDL error at <location>: array "<name>" should be indexed by <number> dimensions

CAUSE: In a Verilog Design File (.v) at the specified location, you specified the wrong number of dimensions while accessing a multidimensional array. When accessing a multidimensional array, you should normally specify exactly one index for every dimension. However, the Quartus Prime software does not currently support synthesis of multidimensional arrays. If you fix this error, you will still receive an unsupported feature error for using a multidimensional array.

ACTION: Edit the design to remove the use of multidimensional arrays. As an alternative, you may want to use a memory, which is an array of vectors and can be declared as shown in the following example:
reg [n:0] my_memory[m:0]