ID:19576 Verilog HDL error at <location>: hierarchical reference from package '<string>' into <string> '<string>' is not allowed

CAUSE: Intel Quartus Prime Synthesis generated the specified error message for the specified location in a Design File.

ACTION: Fix the problem identified by the message text. A future version of the Intel Quartus Prime software will provide more extensive Help for this error message.