ID:14488 Netlist error at <location>: can't infer register for <string> because its behavior depends on the edges of multiple distinct clocks

CAUSE: Quartus Prime Integrated Synthesis generated the specified error message for the specified location in a Design File.

ACTION: Fix the problem identified by the message text. A future version of the Quartus Prime software will provide more extensive Help for this error message.