ID:13565 SystemVerilog Enumeration Type Declaration error at <location>: encoded value for element "<name>" has width <number>, which does not match the width of the enumeration's base type (<number>)

CAUSE: In a enumeration data type declaration at the specified location in a Verilog Design File(.v), you assigned an encoded value to the specified enumeration element. However, the width of the encoded value does not match the width of the enumeration's base type. The default base type for an enumeration is int, which has a width, defined by implementation, of at least 32.

ACTION: Change the width of the encoded value to match the width of the base type, or change the width of the base type to match the width of the encoded value.