ID:13223 Verilog HDL or VHDL error: <text>

CAUSE: Quartus Prime Integrated Synthesis generated the specified error for a Verilog Design File (.v) or VHDL Design File (.vhd).

ACTION: Edit the file to correct the error. A future version of the Quartus Prime software will provide more detailed information about this error.