ID:13062 Bidirectional pin "<name>" feeds bidirectional pin "<name>"

CAUSE: The specified two bidirectional pins are feeding each other. However, two bidirectional pins must be separated from each other by logic. This error may occur in VHDL if an OUTPUT primitive or INPUT primitive is declared as INOUT. The Quartus Prime software does not automatically convert these pins to OUTPUT or INPUT pins.

ACTION: Modify the design so that the two bidirectional pins do not feed each other. Alternatively, modify the design so that one of the bidirectional pins feeds an input pin and the other bidirectional pin feeds an output pin.