ID:46004 illegal_write output port must be used in combination with write circuit

CAUSE: The illegal_write output port is not used when instantiating write circuit.

ACTION: Connect the illegal_write port.