AHDL Function Prototype (port name and order also apply to Verilog HDL)

Note:

Notes: The sconst and aconst ports are provided only for backward compatibility, but should be left unconnected in newer projects.

The following AHDL function prototype is located in the AHDL Include File (.inc) Definitionlpm_dff.inc in the <Intel® Quartus® Prime installation directory>\libraries\megafunctions directory.

FUNCTION lpm_dff (data[LPM_WIDTH-1..0], clock, enable,

                shiftin, shiften,

                sclr, sset, sconst,

                aclr, aset, aconst)

        WITH (LPM_WIDTH, LPM_AVALUE, LPM_SVALUE)

        RETURNS(q[LPM_WIDTH-1..0], shiftout);