Launch Simulation Library Compiler (Tools Menu)

You open the EDA Simulation Library Compiler dialog box by clicking Tools > Launch Simulation Library Compiler.

Allows you to quickly set all the necessary options to compile simulation libraries from the Intel® Quartus® Prime GUI.

EDA simulation tool:

  • Tool name—List of third party EDA tools requiring a defined path.
  • Executable location—Allows you to specify the location of the executable file for the listed tool.
  • Current EDA simulation tool—Displays the currently selected EDA simulation tool.

Compilation options:

  • Library families—Allows you to choose one or more families.
  • Library language—Allows you to specify VHDL or Verilog HDL.
    Note: If the tool only supports one HDL language, the default value is set to the HDL language that is supported by the simulation tool.

Output:

  • Output directory—Allows you to specify the output directory.
  • Show all messages—Displays all the simulator-specific information and warning messages issued during the compilation on the Messages tab. The message list does not include tool-specific error messages.
  • Create log file—Stores all messages, if Show all messages is on, to a default log file name: log-<simulation tool>-<family>-<number count>.

Apply settings to current project:

When you turn on this option, the simulation tool and library language settings are applied to the current project.