Set Input Delay Dialog Box (set_input_delay)

You access this dialog box by clicking Constraints > Set Input Delay in the Timing Analyzer, or with the set_input_delay Synopsys® Design Constraints (SDC) command.

Specifies the data required time Definition at the specified input ports relative to the clock. The Clock name must refer to an actual clock name in the design.

You can specify input delays relative to the rising edge (default) or falling edge (-clock_fall) of the clock. The Timing Analyzer uses the maximum input delay (-max) for clock setup checks or recovery checks, and uses the minimum input delay (-min) for clock hold checks or removal checks. If you specify only the minimum or maximum delay for a given port, the same value is used for both. You can specify separate rising (-rise) and falling (-fall) arrival times at the port. If you specify only the rise or only the fall value for a given port, the specified value is used for both rise and fall.

By default, the Timing Analyzer removes any other input delays to the port except for those input delays with the same clock name (-clock), falling clock edge (-clock_fall), and reference pin (-reference pin) specification. To specify multiple input delays relative to different clocks, turn on the Add delay (-add_delay) option.



The following sections provide more information about specifying options for this constraint:

Clock name (-clock):

Specifies the reference clock name.

Use falling clock edge (-clock_fall):

Specifies that the input delay is relative to the falling edge of the reference clock.

Input delay options (-min, -max, -rise, -fall):

Specifies options the control the calculation of input delay. The following options are available:

  • Minimum (-min)— The input delay is calculated based on the minimum data arrival time.
  • Maximum (-max)— The input delay is calculated based on the maximum data arrival time.
  • Rise (-rise)— The input delay applies to the rising edge input delay at the port.
  • Fall (-fall)— The input delay applies to the falling edge input delay at the port.

Delay value:

Specifies the input delay value.

Add delay (-add_delay):

Specifies that the delay is cumulative to any existing delay value.

Targets:

Allows you to type or select a list or collection of target input ports for the constraint. You can use the Name Finder (...) to build a collection Definition of targets.

SDC command:

Displays and allows you to enter SDC commands for the options you specify in this dialog box.