create_timing_summary (::quartus::sta)

The following table displays information for the create_timing_summary Tcl command:

Tcl Package and Version

Belongs to ::quartus::sta 1.0

Syntax create_timing_summary [-h | -help] [-long_help] [-append] [-file <name> ] [-hold] [-mpw] [-multi_corner] [-panel_name <name> ] [-recovery] [-removal] [-setup] [-stdout]
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
-append If output is sent to a file, this option appends the result to that file. Otherwise, the file will be overwritten. This option is not supported for HTML files.
-file <name> Sends the results to an ASCII or HTML file. Depending on the extension
-hold Hold Analysis
-mpw Minimum Pulse Width Analysis
-multi_corner When set, running this command with the -panel option will create a folder containing versions of this report for multiple operating conditions. This option has no effect when used with the -stdout or -file options.
-panel_name <name> Sends the results to the panel and specifies the name of the new panel
-recovery Recovery Analysis
-removal Removal Analysis
-setup Setup Analysis (Default)
-stdout Send output to stdout, via messages. You only need to use this option if you have selected another output format, such as a file, and would also like to receive messages.
Description
Reports the worst-case Clock Setup and Clock Hold
slacks and endpoint TNS (total negative slack) per
clock domain.  Total negative slack is the sum of all
slacks less than zero for either destination registers
or ports in the clock domain.

This command shows the worst-case slack for each clock
domain. You right click in these reports to run more
detailed reports like Histograms and Report Timing.

By default, this command creates a Setup Summary. This
command can also generate a Hold Summary (-hold),
Recovery Summary (-recovery), Removal Summary
(-removal), or Minimum Pulse Width Summary (-mpw).

The report can be directed to the Tcl console (-stdout,
default), a file (-file), the Timing Analyzer graphical
interface (-panel_name), or any combination of the
three.
Example Usage
project_open my_project

# Always create the netlist first and process constraints
create_timing_netlist
read_sdc my_project.sdc
update_timing_netlist

# Create Clock Domain Summary
create_timing_summary -panel_name "Setup Summary"
create_timing_summary -hold -panel_name "Hold Summary"

# The following command is optional
delete_timing_netlist

project_close
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: Panel name cannot contain repeated pipe characters. (||).
TCL_ERROR 1 ERROR: Option <string> has illegal value: <string>. Specify a legal option value.
TCL_ERROR 1 ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.
TCL_ERROR 1 ERROR: Report database is not open