ID:10490 VHDL warning at <location>: ignored pragma "<name>" for constant net

CAUSE: In a VHDL Design File (.vhd), you applied the specified pragma to nets. Quartus Prime Integrated Synthesis cannot use the pragma for constant nets, and therefore it ignored the pragma for the constant net at the specified location.

ACTION: Make sure that applying the pragma to a constant net is producing the desired behavior. To avoid receiving this message in the future, make sure you do not use the pragma for a constant net. Otherwise, no action is required.