ID:16792 VHDL Association List warning at <location>: formal <name> that is associated individually cannot be associated with actual of OPEN

CAUSE: In an Association List at the specified location in a VHDL Design File (.vhd), you associated the specified formal, which is associated individually, with an actual of OPEN. However, you should not associate a formal that is associated individually with an actual of OPEN.

ACTION: No action is required. To remove the warning, asociate the formal with an actual that is not OPEN.