ID:262022 <purpose> - Command: quartus_stp <command line option>

CAUSE: The compilation assignments are refreshed to setup tools such as Signal Tap and Logic Analyzer Interface. You either enabled those tools or disabled them.

ACTION: In the command line flow, the command quartus_stp, is not part of the compilation flow carried out by quartus_sh --flow compile or its equivalent Tcl command. This command must be used before the start of the compilation flow or before quartus_map is executed. If the compilation assignment is setup correctly, you can simply execute quartus_stp <project name> without specifying any option shown in this message.