ID:171019 Output port <name> assigned to location <name>

CAUSE: You assigned the specified output port to the specified location. This message is a sub-message of the message that precedes it in the Messages window and in the Messages section of the Report window.

ACTION: No action is required.