ID:18676 Duplicate the boundary port and rewire destinations such that each port has only all global or all non-global fanout

CAUSE: N/A

ACTION: Global types can be controlled by the GLOBAL_SIGNAL assignment, global primitive, or through explicit instantiation of clock buffers in the design.