ID:10449 VHDL attribute error at <location>: result of attribute "<name>" is out of range for type <type>

CAUSE: At the specified location in a VHDL Design File (.vhd), you used the specified attribute for the specified type. However, the result of the attribute exceeds the range of the type. The result must belong to the type range.

ACTION: Change the attribute's parameter so the attribute's result belongs to the range of the type.