ID:10446 VHDL Type Declaration error at <location>: record element cannot have an unconstrained array type

CAUSE: In a Type Declaration at the specified location in a VHDL Design File (.vhd), you declared a record type. However, you specified a record type element that has an unconstrained array type. An element for a record type cannot have an unconstrained array type.

ACTION: Add a discrete range to the array type that you are specifying for the record element, or specify a record element type that is not an unconstrained array type.