ID:10454 VHDL syntax error at <location>: right bound of range must be a constant

CAUSE: In a VHDL Design File (.vhd) at the specified location, you specified a range. However, the right bound of the range you specified is not a constant. The right bound of a range must be a constant.

ACTION: Change the right bound of the range to a constant.