ID:10438 VHDL attribute error at <location>: prefix of 'LENGTH attribute must be an object of array type or an array subtype

CAUSE: In a VHDL Design File (.vhd) at the specified location, you used a prefix with the 'LENGTH attribute, but the prefix is not an object of array type or an array subtype.

ACTION: Make sure the prefix of the 'LENGTH attribute is an object of array type or an array subtype.