ID:10586 VHDL Association List error at <location>: actual associated with formal <name> of mode OUT or BUFFER cannot be in the form of a type conversion

CAUSE: In a named or positional Association List at the specified location in a VHDL Design File (.vhd), you used an actual that is in the form of a type conversion, where the expression to be converted is the actual designator. However, the formal to which the actual is associated has a mode of OUT or BUFFER. The actual can be in the form of a type conversion only when the mode of the associated formal is IN, INOUT, or LINKAGE, and the actual is not OPEN

ACTION: Change the actual so it is not in the form of a type conversion.