ID:10429 VHDL Case Statement or Selected Signal Assignment error at <location>: OTHERS choice must contain only the OTHERS keyword

CAUSE: In a Case Statement or Selected Signal Assignment at the specified location in a VHDL Design File (.vhd), you used the OTHERS choice. However, the OTHERS choice contains elements. The OTHERS choice must contain only the OTHERS keyword.

ACTION: Change the OTHERS choice so it contains only the OTHERS keyword.