ID:10472 VHDL error at <location>: entity "<name>" is used in Architecture Body, Configuration Specification, or Component Configuration, but is not defined

CAUSE: In an Architecture Body, Configuration Specification, or Component Configuration at the specified location in a VHDL Design File (.vhd), you used the specified entity. However, you did not declare the entity in an Entity Declaration. You must define the entity before you can use it.

ACTION: Replace the entity with a previously defined entity, or define the entity in an Entity Declaration. However, do not define an entity with the name you used in the Architecture Body, Configuration Specification, or Component Configuration if you already used the name for a different construct.