ID:10319 VHDL aggregate error at <location>: choice must be discrete range

CAUSE: In an aggregate at the specified location in a VHDL Design File (.vhd), you used a non-discrete range for a choice. However, the choice must be a discrete range.

ACTION: Change the choice so it is a discrete range.