ID:10481 VHDL Use Clause error at <location>: design library "<name>" does not contain primary unit "<name>". Verify that the primary unit exists in the library and has been successfully compiled.

CAUSE: In a Use Clause at the specified location in a VHDL Design File (.vhd), you tried to access the specified primary unit of the specified design library. However, the design library does not contain the primary unit, or the primary unit has not been successfully compiled. For example, the Use Clause in the following code attempts to access the primary unit example, but the ieee design library does not contain the primary unit example:
LIBRARY ieee;
USE ieee.example;

ACTION: Access only primary units that exist in a design library and have been successfully compiled.