ID:10461 VHDL Component Configuration error at <location>: component instance "<name>" does not instantiate component "<name>"

CAUSE: In a component configuration at the specified location in a VHDL Design File (.vhd), you used a configuration specification with the specified instance and component names. However, in a component instantiation statement, you specified a different component name. The component name must be the same in both the component configuration and component instantiation statement.

ACTION: Change the component name in the component configuration to match the component name in the component instantiation statement, or vice versa.