ID:10358 VHDL Type Declaration error at <location>: illegal constrained element in unconstrained array declaration

CAUSE: In a Type Declaration at the specified location in a VHDL Design File (.vhd), you used a constrained element type in an unconstrained array type. However, an element type in a unconstrained array type must be unconstrained.

ACTION: Change the element type so it is unconstrained, or change the array type so it is constrained.