ID:10537 VHDL Signal Assignment Statement error at <location>: guarded Signal Assignment Statement must be in guarded Block Statement

CAUSE: In a VHDL Design File (.vhd) at the specified location, you used a guarded Signal Assignment Statement outside a guarded Block Statement. However, you must use guarded Signal Assignment Statements only in guarded Block Statements.

ACTION: Remove the guarded Signal Assignment Statement, or move the guarded Signal Assignment Statement into a guarded Block Statement.