ID:10354 VHDL Default Binding error at <location>: component generic "<name>" does not exist on entity "<name>"

CAUSE: In a component declaration at the specified location in a VHDL design file (.vhd), you declared the specified generic. Later, this component was bound to the specified entity, which does not declare the specified generic. When a component is bound to an entity with a default binding, the component generics must match the entity generics in name and type. A component can, however, declare fewer generics than the entity, provided that any omitted generics were declared with a default value in the entity declaration.

ACTION: Remove the generic from the component, or add it to the entity.