ID:10385 VHDL error at <location>: index value <number> is outside the range (<range>) of object "<name>"

CAUSE: In a VHDL Design File (.vhd) at the specified location, you indexed an array object. However, the index you used is not within the specified object's range. For example, the Signal Assignment Statement in the following code assigns the indexed object i(4) to a target object, but the index 4 does not belong to the index range of object i, which is (0 to 3):
ENTITY example IS

               
   PORT
   (
      clk      : IN	std_logic;
      i        : IN 	std_logic_vector (0 to 3);
      o        : OUT 	std_logic_vector (0 to 3)
   );

               
END example;

               
ARCHITECTURE ex1 OF example IS
BEGIN
   o(3) <= i(4);
END ex1;

            
The index of the object must belong to the index range you specified for the object.

ACTION: Change the index for the object, or change the bounds of the object's index range to include the index. In the previous example, you must change i(4) to i(0), i(1), i(2), or i(3); or change the index range (0 to 3) to include the index 4.