ID:10545 VHDL Constant Declaration error at <location>: constant "<name>" must have initial value

CAUSE: In a Constant Declaration at the specified location in a VHDL Design File (.vhd), you declared the specified constant. However, you did not specify an initial value for the constant. The constant must have an initial value.

ACTION: Specify an initial value for the constant.