ID:10316 VHDL error at <location>: character '<character>' used but not declared for type "<name>"

CAUSE: In a VHDL Design File (.vhd) at the specified location, you used the specified character for the specified type. However, you did not declare the character for the type in a Type Declaration. You must declare the character before you can use it for a type.

ACTION: Declare the character for the type, or use a character that is already declared for the type.