ID:10563 VHDL Attribute error at <location>: predefined attribute "<name>" does not denote a range

CAUSE: At the specified location in a VHDL Design File (.vhd), you used the specified predefined attribute in a context that expects a range, and so on, in the index constraint of an array subtype declaration. However, the predefined attribute is not an attribute that denotes a range. For example, T'LENGTH denotes the length of an array subtype, but it does not denote a range.

ACTION: Replace the predefined attribute with a predefined attribute that returns a range, or replace the predefined attribute with an explicit range.