ID:10295 VHDL Indexed Name error at <location>: array type "<name>" expects <number> index arguments

CAUSE: In a VHDL design file (.vhd) at the specified location, you indexed an object with an array type. However, you specified too many index arguments or too few index arguments. The number of index arguments must match the number of dimensions specified in the declaration of the array type.

ACTION: Index the object with the correct number of arguments, or change the number of dimensions in the array type declaration.